Connect with us

Nachrichten

Intel fügt 14A-Prozessknoten zu seiner Roadmap hinzu, Aktualisierungen für 18A- und Intel 3-Knoten werden auf der IFS Direct vorgestellt

Intel fügt 14A-Prozessknoten zu seiner Roadmap hinzu, Aktualisierungen für 18A- und Intel 3-Knoten werden auf der IFS Direct vorgestellt

Intel hat enthüllt seine brandneue Roadmap für seine Prozessknoten der nächsten Generation bei IFS Direct, die jetzt 14A und Updates für bereits angekündigte Knoten umfasst.

Die Prozess-Roadmap 2027 von Intel sieht 14A-, 14A-E-, 18A-P-, 3E- und 3-PT-Knoten für Halbleiter der nächsten Generation vor

Pressemitteilung: Intel Corp. (INTC) hat heute Intel Foundry als nachhaltigeres Systemgießereiunternehmen für das KI-Zeitalter ins Leben gerufen und eine erweiterte Prozess-Roadmap angekündigt, die darauf abzielt, in der zweiten Hälfte dieses Jahrzehnts eine Führungsrolle zu übernehmen.

Das Unternehmen hob außerdem die Kundendynamik und die Unterstützung von Ökosystempartnern – darunter Synopsys, Cadence, Siemens und Ansys – hervor, die ihre Bereitschaft zum Ausdruck brachten, die Chipdesigns von Intel Foundry-Kunden mit Tools, Designabläufen und IP-Portfolios zu beschleunigen, die für Intels fortschrittliche Verpackung und Intel validiert wurden 18A-Prozesstechnologien.

Die Ankündigungen erfolgten auf Intels erster Foundry-Veranstaltung, Foundry Direct Connect, bei der das Unternehmen Kunden, Ökosystemunternehmen und Führungskräfte aus der gesamten Branche zusammenbrachte. Zu den Teilnehmern und Rednern gehörten US-Handelsministerin Gina Raimondo, Arm-CEO Rene Haas, Microsoft-CEO Satya Nadella, OpenAI-CEO Sam Altman und andere.

Die Höhepunkte dieser Ankündigungen sind unten aufgeführt:

  • Intel Foundry startet als weltweit erste Systemgießerei für das KI-Zeitalter und ist führend in Technologie, Ausfallsicherheit und Nachhaltigkeit.
  • Intel Foundry stellt eine neue Roadmap mit 14A-Prozesstechnologie, speziellen Knotenentwicklungen und neuen ASAT-Funktionen (Foundry Advanced System Assembly and Test) vor, um Kunden bei der Verwirklichung ihrer KI-Ambitionen zu unterstützen.
  • Intel Foundry gibt Designsieg bekannt: Microsoft-CEO Satya Nadella teilt mit, dass Microsoft sich für ein Chipdesign entschieden hat, das es im 18A-Prozess produzieren will.
  • Ökosystempartner wie Synopsys, Cadence, Siemens und Ansys kündigen validierte Tools, Design-Flows und Portfolios für geistiges Eigentum (IP) an, die bereit sind, Kundendesigns zu ermöglichen.

[Editor’s Note] Mit seiner neuesten Prozessknoten-Roadmap stellt Intel neue Ergänzungen zu seiner Prozess-Roadmap vor, die nun Untervarianten jedes Knotens mit den Suffixen „E“, „P“ und „T“ umfassen. Alle diese Suffixe stellen eine bestimmte Erweiterung des Funktionsumfangs, der Leistung oder der Verpackungstechnologie dar. Das „P“ für 18A-P und 3-PT steht für eine höhere Leistung mit bis zu 10 % Steigerung gegenüber der Standardvariante, während das „T“ für die Verwendung von TSVs oder Through-Silicon Vias steht, die Teil des 3D sein werden Foveros Direct-Technologie. Die „E“-Untervarianten stellen eine Erweiterung des klassischen Knotens dar, die gezielt auf bestimmte Kunden abzielt.

Darüber hinaus gab das Unternehmen bekannt, dass es seine Clearwater Forest Xeon E-Core-CPUs der nächsten Generation bereits auf den Markt gebracht hat, während 18A im zweiten Quartal 2024 für das vollständige Produktdesign bereit ist.

Prozess-Roadmap wird über 5N4Y hinaus erweitert

Intels erweiterte Prozesstechnologie-Roadmap erweitert den hochmodernen Knotenplan des Unternehmens um 14A, zusätzlich zu mehreren spezialisierten Knotenentwicklungen. Auch Intel bekräftigte, dass es ehrgeizig sei Fünf-Knoten-in-vier-Jahre-Prozess-Roadmap (5N4Y). bleibt auf Kurs und wird die branchenweit erste Backside-Power-Lösung liefern. Unternehmensführer gehen davon aus, dass Intel mit Intel 18A im Jahr 2025 die Prozessführerschaft zurückgewinnen wird.

Ein Foto zeigt ein DMX-Pick-and-Place-Werkzeug zum Stapeln der Foveros-Verpackungstechnologie in einer Intel-Fabrik in Oregon im Dezember 2023.

Die neue Roadmap umfasst Weiterentwicklungen für 3-, 18A- und 14A-Prozesstechnologien. Es umfasst 3-T, das mit Durchkontaktierungen durch Silizium für fortschrittliche 3D-Gehäusedesigns optimiert ist und bald die Produktionsreife erreichen wird.

Hervorgehoben werden auch ausgereifte Prozessknoten, einschließlich neuer 12-Nanometer-Knoten, die durch erwartet werden gemeinsame Entwicklung mit UMC letzten Monat angekündigt. Diese Weiterentwicklungen sollen es Kunden ermöglichen, Produkte zu entwickeln und zu liefern, die auf ihre spezifischen Bedürfnisse zugeschnitten sind. Intel Foundry plant alle zwei Jahre einen neuen Knoten und parallel dazu Weiterentwicklungen der Knoten, um Kunden die Möglichkeit zu geben, ihre Angebote auf der führenden Prozesstechnologie von Intel kontinuierlich weiterzuentwickeln.

Intel kündigte außerdem die Aufnahme von Foundry FCBGA 2D+ in seine umfassende Suite von ASAT-Angeboten an, zu denen bereits FCBGA 2D, EMIB, Foveros und Foveros Direct gehören.

Microsoft Design auf 18A sorgt für Schlagzeilen bei den Kunden

Kunden unterstützen Intels langfristigen System-Foundry-Ansatz. Während der Keynote von Pat Gelsinger erklärte Satya Nadella, Chairman und CEO von Microsoft, dass Microsoft ein Chipdesign ausgewählt habe, das es im 18A-Prozess produzieren möchte.

„Wir befinden uns mitten in einem sehr spannenden Plattformwechsel, der die Produktivität jedes einzelnen Unternehmens und der gesamten Branche grundlegend verändern wird“, sagte Nadella. „Um diese Vision zu verwirklichen, benötigen wir eine zuverlässige Versorgung mit den fortschrittlichsten, leistungsstärksten und hochwertigsten Halbleitern. Deshalb freuen wir uns so sehr auf die Zusammenarbeit mit Intel Foundry und haben uns für ein Chipdesign entschieden, das wir im Intel 18A-Prozess produzieren wollen.“

Intel Foundry hat Design-Wins über alle Generationen von Foundry-Prozessen hinweg erzielt, darunter 18A, 16 und 3, sowie ein beträchtliches Kundenvolumen für Foundry-ASAT-Funktionen, einschließlich fortschrittlicher Verpackungen.

Ein Fertigungsmitarbeiter stellt in einer Intel-Fabrik in Chandler, Arizona, ein Testsystem auf einem Chip vor, das auf einem Glassubstrat aufgebaut ist.

Insgesamt beläuft sich der erwartete Lifetime-Dealwert von Intel Foundry für Wafer und fortschrittliche Verpackung auf mehr als 15 Milliarden US-Dollar.

Intel-Prozess-Roadmap

Prozessname Intel 14A-E Intel 14A Intel 18A Intel 20A Intel 3 Intel 4 Intel 7 Intel 10 nm SuperFin
Produktion 2027 2026 2H 2024 1H 2024 1H 2023 2H 2022 In Volumen (jetzt) In großen Stückzahlen (jetzt)
Leistung/Watt (über 10 nm ESF) TBA TBA TBA >20 %? 18 % 20 % 10-15 % N / A
EUV Noch offen EUV mit hoher NA Ja Ja Ja Ja N / A N / A
Transistorarchitektur Noch offen Noch offen Optimierter RibbonFET RibbonFET Optimierter FinFET Optimierter FinFET Optimierter FinFET FinFET
Produkte Noch offen Noch offen Mondsee
Nova-See
Diamond Rapids?
Gießereipartner
Pfeilsee
Diamond Rapids?
Granit-Stromschnellen
Sierra-Wald
Gießereipartner
Meteorsee
Xe-HPC / Xe-HP?
Erlensee
Raptor-See
Saphir-Stromschnellen
Smaragd-Stromschnellen
Xe-HPG?
Tigersee

Teilen Sie diese Geschichte

Facebook

Twitter